机械电子

关注公众号 jb51net

关闭
Active-HDL开放型仿真工具 v9.1 英文安装免费版

Active-HDL开放型仿真工具 v9.1 英文安装免费版

您还可以选择:百度网盘下载1百度网盘下载2

热门排行

简介

Active-HDL 9.1是一款非常好用且功能强大的由aldec公司推出的开放型仿真工具,软件集成了VHDL,Verilog,EDIF,System C开发环境,可以应用各种应用的开发、调试、图形仿真和库管理等操作,Active-HDL 9.1版本可以完美地兼容xp、win7等操作系统,win10系统并未测试,需要此款工具的朋友们可以前来下载使用。

Active-HDL功能:
Active-HDL是集成VHDL,Verilog,EDIF,System C开发环境。它由设计工具,VHDl&Verilog编译器,单仿真内核,调试工具,图形仿真和资源、库等管理工具,可让用户运行仿真,综合,实现,以及第三方工具。
  Aldec公司所提供的高阶FPGA及ASIC设计和验证环境—Active-HDL,能够协助工程人员进行电信、军事,或者消费性电子等应用的硬体实现。
  Active-HDL能够和业界标准相容,如IEEE、ISO、IEC及其它标准等,它为您的设计提供了极广的覆盖率及支援。 其它强大的功能和工具,如程式码覆盖率分析(Code Coverage Analysis),图表编辑器,和状态图表编辑器,都能协助您以非平行的方式检视您的设计元素。
  Active-HDL具备除错工具,能支援Soft或Hard IP Core元件;其它的特色如图形化使用介面、程式语法、或混合模式开发都能加快您的设计速度。

大家还下载了